Package: ghdl
Version: 0.29+gcc4.3.4+dfsg-1
Severity: wishlist

--- Please enter the report below this line. ---

After simulating and making a vcd file, I found that signals of type
real are not displayed in gtkwave. If I generate a ghw file instead of
a vcd file, it works but with the ghw format I can't make a too big
simulation. Then it would be nice if ghdl could add real signals
in the vcd files.

Here is a test bench that shows the problem :

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity tb_test is end;

architecture arch_tb_test of tb_test is
        signal sig_s : real := 1.0;
begin
        qwer : process begin
                wait for 10 us;
                sig_s <= sig_s/2.0;
        end process;
end architecture;

Bye
Jonas


--- System information. ---
Architecture: i386
Kernel:       Linux 2.6.32-5-686

Debian Release: squeeze/sid
  700 unstable        ftp.ch.debian.org 
  600 testing         ftp.ch.debian.org 
    1 experimental    ftp.ch.debian.org 

--- Package information. ---
Depends             (Version) | Installed
=============================-+-=============
libc6                (>= 2.7) | 2.11.1-2
libgcc1          (>= 1:4.1.1) | 1:4.4.4-4
libgmp3c2                     | 2:4.3.2+dfsg-1
libgnat-4.4      (>= 4.4.3-1) | 4.4.4-4
libmpfr1ldbl                  | 2.4.2-3
gnat-4.4                      | 4.4.4-4
zlib1g-dev                    | 1:1.2.3.4.dfsg-3


Recommends      (Version) | Installed
=========================-+-===========
gtkwave                   | 3.3.6-1


Package's Suggests field is empty.






-- 
test_client <q...@mail2world.com>



--
To UNSUBSCRIBE, email to debian-bugs-dist-requ...@lists.debian.org
with a subject of "unsubscribe". Trouble? Contact listmas...@lists.debian.org

Reply via email to